Welcome![Sign In][Sign Up]
Location:
Search - code decoder 3-8 verilog HDL

Search list

[VHDL-FPGA-Verilog3-8译码器

Description: vhdl的3-8译码器-instantiate the 3-8 decoder
Platform: | Size: 999424 | Author: 熊辉波 | Hits:

[VHDL-FPGA-VerilogS1_38yima

Description: 3-8译码器的verilog HDL代码,是红色飓风EP1C6开发板上的一个基础学习的范例。-3-8 decoder Verilog HDL code, is a basic study of the red hurricane EP1C6 development board example.
Platform: | Size: 143360 | Author: 马宇红 | Hits:

CodeBus www.codebus.net